Personal blog website

This is my personal blog website built with Zola. It was designed to be minimalist and fast.

I was using Hugo before switching to Zola for its simplicity and flexibility.

Here is the source code: GitHub.

Features

Design

Typography

Text can be bold, italic, strikethrough, and all at the same time.

Link to another page.

Heading 1

Heading 2

Heading 3

Heading 4

Heading 5
Heading 6

Lists

  1. Item 1
  2. Item 2
  3. Item 3

Tables

Left Column 1Right Column 2Center Column 3
Row 1Row 1Row 1
Row 2Row 2Row 2

Code Blocks

library EEE;
use IEEE.std_logic_1164.all;

-- this is the entity
entity ANDGATE is
  port ( 
    I1 : in std_logic;
    I2 : in std_logic;
    O  : out std_logic);
end entity ANDGATE;

-- this is the architecture
architecture RTL of ANDGATE is
begin
  O <= I1 and I2;
end architecture RTL;
library IEEE;
use IEEE.std_logic_1164.all;

-- this is the entity
entity ORGATE is
  port ( 
    I1 : in std_logic;
    I2 : in std_logic;
    O  : out std_logic);
end entity ORGATE;

-- this is the architecture
architecture RTL of ORGATE is
begin
  O <= I1 or I2;
end architecture RTL;

Math

$$E=mc^2$$

The energy of a particle is given by the equation $E=mc^2$, where $E$ is the energy, $m$ is the mass, and $c$ is the speed of light.

Images

Image Alt Text

Videos

Quotes

This is a quote.

Alerts

This is a note.

This is a warning.